---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 09/16/2015 11:05:28 AM -- Design Name: -- Module Name: task1 - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity task1 is Port ( a : in STD_LOGIC; b : in STD_LOGIC; y : out STD_LOGIC); end task1; architecture Behavioral of task1 is begin end Behavioral;